【AICon】探索八个行业创新案例,教你在教育、金融、医疗、法律等领域实践大模型技术! >>> 了解详情
写点什么

微机原理:锁存器的基本原理介绍

  • 2019-10-25
  • 本文字数:2528 字

    阅读完需:约 8 分钟

微机原理:锁存器的基本原理介绍

在大多数字电路中,除了需要具有逻辑运算和算数运算功能的组合逻辑电路外,还需要具有存储功能的电路。组合电路与存储电路结合构成时序逻辑电路,就是咱们平时所说的时序电路,本次着重讨论两种逻辑单元电路,锁存器和触发器,详细介绍各自的工作原理与电路结构,以及实现的不同功能。


基本双稳态电路

将两个非门接成交叉耦合形式,则构成最基本的双稳压电路。



如图所示的电路关系可知,若 Q=0,经非门 G2 反相,则 Q¬=1.Q¬反馈到 G1 输入端,又保证了 Q=0,由于两个非门首尾相接的逻辑锁定,因而电路能够自行保持在 Q=0,Q¬=1 的稳定状态,反之,两个信号对调,也会形成第二种稳定状态。在两种稳定状态中,输出的两个信号都是互补的。


可以定义 Q=0 为整个电路的 0 状态,Q=1 则是一状态,信号进入其中任何一种逻辑状态都能够长期保存下去,并可以通过 Q 端电平检测出来。所以,基本双稳态电路具有储存一位二进制数据的功能。

SR 锁存器

来看第一个,SR 锁存器是各种触发器最基本的构成部件,是一种最简单的触发器,而锁存器与触发器的区别在于,锁存器不需要触发信号,输入信号直接完成 0 或 1 操作,触发器则需要一个触发信号,我们称为时钟信号,只有输入信号有效的时候,才按输入信号完成 0 或 1 操作。


时钟概念,稍微解释一下。时钟就是一个高低电平振荡器,叫晶振,时钟周期也称为振荡周期,定义为时钟频率的倒数。时钟周期是计算机中最基本的、最小的时间单位。在一个时钟周期内,CPU 仅完成一个最基本的动作。时钟周期是一个时间的量。更小的时钟周期就意味着更高的工作频率。时钟信号就是时钟电平高低震荡产生的信号,也就是高低电平的变化。



上面是电路结构图,是一个由或非门组成的逻辑电路,Q 和 Q′为互补输出端,正常工作时,它们的输出状态相反。通常用 Q 的状态表示触发器的状态,即: Q = 0,Q′ = 1 时,称为触发器的“0”态。Q = 1,Q′ = 0 时,称为触发器的“1”态。由此可见,Q 和 Q’为互补输出端。


当 RD=0,SD=1 时,Q=1,Q’=0,锁存器为 1 态,RD=1,SD=0 时,Q=0,Q‘=1,锁存器为 0 态,若 Q=0,Q=0,Q’=1,锁存器为 0 态,若 Q=1,Q=1,Q’=0,锁存器为 1 态,锁存器的状态保持不变,但是当 Q、Q’同时等于 0 的时候,为禁止态。


上面说过,Q 与 Q’为互补输出,如果同时等于 0,违背这一原则,不允许输入 RD = SD = 1 的信号,这就是 SR 锁存器的约束条件。当 RD 和 SD 同时去掉高电平加低电平时,输出状态不稳定。由下面真值表可以看出:




上面是逻辑符号,输入信号直接加在输出门上,在输入信号全部作用时间内,都能直接改变输出端的状态(即只要有输入信号,就能作用于电路)。故又称该电路为直接复位、置位锁存器。


在数字系统中,为协调各部分的动作,常常要求某些触发器在同一时刻动作(即改变状态,也称为翻转),这就要求有一个同步信号来控制,这个控制信号叫做时钟信号(Clock),简称时钟,用 CLK 表示。Clock 是一串周期和脉宽一定的矩形脉冲。具有时钟脉冲控制的触发器统称为时钟触发器,又称钟控触发器。电平触发器(也称同步触发器)是其中最简单的一种。


继续来看电路的动态变化,如果电路的状态为 1 态,也就是 Q=1,Q‘=0,在 RD 端出现逻辑 1 电平的瞬间,将使 Q 端输出的电压下降并作用与 G2 的输入端,随机引发 Q’端电压上升。一旦 Q 和 Q‘端均跨越逻辑阈值电平,便迅速的转换为 Q=1,Q’=1.电路状态由 1 反转为 0。反之,如果此前的电路状态为 0,也就是 Q=0,Q‘=1,则 RD=1 的出现不改变其状态。

基本 SR 锁存器的的动态特征

之前仅仅讨论了电路之间的逻辑关系,接下来看一下电路输出信号的延迟,也就是动态特性,连个或非门工作的时候,都会无法避免的存在一些工作延迟,当输入高低电平的时候,输出限号需要经过一定延时才会产生变化,这种延时是一种潜在的隐患,有可能会对后续电路产生一定影响,可能会造成错误的逻辑输出,有可能导致工作不稳定。为此,需要保证锁存器的可靠转换,这就对输入信号有了时间要求。


在这里补充一个新的概念:定时图。定时图是表达时序电路动态特性的工具之一,主要功能就是表示电路工作过程中,输出对输入信号相应的延迟时间,以及对输入信号的时间要求。



传输延长时间 tpLH 和 tpHL


如上图所示,当置 1 信号 S 上升时,姿势为高电平,需要一定的传输延时时间 tpLH 之后,Q 端才转换为高电平。同样,置 0 信号 R 作用于电路,Q 端电平也经一定的传输延迟时间 tpHL 才变化为零。这里把 tpLH 和 tpHl 定义为基本 SR 锁存器的传输延迟时间,但是对于具体电路,由于信号传输路径不同,这两个值在一般情况下是不相等的。


脉冲宽度 tw


基本 SR 锁存器工作的时候,必须保证输入两端的高电平脉冲不小于某一最小值 tw。如图中的 tw1 和 tw2 均满足上述要求,因此电路可以可靠的实现基本运行。如果在 S 端或者 R 端的脉冲宽度过窄,如上图显示的 tw3 脉冲,在 Q 端电压还没越过逻辑阈值电平时,S 端的高电平就要被撤销,电路就与可能回到原来的状态,或者使 Q 的最终状态不确定。所以基本 SR 锁存器必须满足脉冲宽度不小于一个最低值 tw,这样才能保证 S 或 R 脉冲有确定的作用状态。


同样的道理,5 基本 SR 锁存器也可以用与非门构成,大致原理都一样,但是有一点席位的差别,这里不多说了,感兴趣自行百度一下。


还有稍微了解一下 RS 锁存器在现实中的主要用途。


基本 SR 锁存器主要可以应用于数字系统中某些特定标志的设置。比如,当某种预设逻辑,条件具备的时候,电路可以通过输入端 S 将基本 SR 锁存器置 1,标志着时间的发生,而当遇到相反的逻辑条件时,,则可以通过输入端 R 端将其至 0,标志着没有发生,就像是咱们开关灯一样。


接下来看一下门控 SR 锁存器。


我们之前所说的基本 SR 锁存器是由输入信号 S,R 输入信号,但是门控 SR 锁存器不同,他是在 基本 SR 锁存器的基础上加上了一道“门”CLK


用使能信号控制锁存器在某一指定时刻,根据输入端,输出的信号确定输出状态,可以实现多个锁存器同步的数据锁存。



相比与 SR 锁存器,只多了两个门和一条 CLK,CLK = 0 时,G3、G4 被封锁,输入信号 R、S 不起作用。 SR 锁存器的输入均为 1,触发器状态保持不变。


只有在 CLK=1 时,S、R 才能起作用。



以上是本次的内容,持续更新微机原理和汇编知识,感谢您的支持。


2019-10-25 14:073532

评论

发布
暂无评论
  • SR 锁存器与 D 锁存器设计与建模

    锁存器和触发器的基本特性 锁存器和触发器是构成时序逻辑电路的基本逻辑单元,它们具有存储数据的功能。每个锁存器或触发器都能存储1位二值信息,所以又称为存储单元或记忆单元。若输入信号不发生变化,锁存器和触发器必然处于其中一种状态,且一旦状

    2023-02-02

  • LabView--- 信号发生器

    设计一个信号发生器,使其可以有多种波形显示形式(比如,正弦波、三角波、自定义波形等),同时可以对波形频率、幅度等参数进行调节。可以计算出波形信号的最大值、最小值和平均值。能够对各种波形数据进行文件保存和读取。

    2022-07-19

  • 一种直流电池 / 电源正反接均可供电的电路方案

    ​基于MOS管,提出一种可支持直流电池/电源无论正反接均可正常供电的电路方案。

    2022-05-27

  • 为什么变压器经常烧毁?怎么预防解决?

    变压器(Transformer)是利用电磁感应的原理来改变交流电压的装置,主要构件是初级线圈、次级线圈和铁芯(磁芯)。主要功能有:电压变换、电流变换、阻抗变换、隔离、稳压(磁饱和变压器)等。按用途可以分为:电力变压器和特殊变压器(电炉变、整流变、工频

    2022-11-16

  • Web 开发必备前端基础

    2022-09-08

  • 电阻电路的等效变换 (Ⅲ)

    先介绍下内阻:内阻指直流或交变电源内部的等效阻抗。前者是纯电阻,后者一般有电阻和电抗分量。还可以表示有源电子器件、测量仪器或其他电子设备的整体等效于一个网络时的阻抗的实部。如信号发生器的内阻通常为50、75或600Ω。 

    2022-05-04

  • 【C 语言】register 关键字

    register 最快的关键字,寄存器的功能是存储二进制代码,它是由具有存储功能的触发器组合起来构成的。一个触发器可以存储1位二进制代码,故存放n位二进制代码的寄存器,需用n个触发器来构成。

    2022-11-21

  • 温故知新|思考题参考答案(一)

    希望这节答疑课帮你把思考题环节做个“闭环”。

    2023-01-02

  • 09|手写 CPU(四):如何实现 CPU 流水线的访存阶段?

    手写CPU第四步,咱们继续挑战。这节课我们先想办法解决流水线的数据冒险问题,然后再完成流水线访存阶段相关模块的设计。

    2022-08-15

  • 计算机网络——码元、波特

    码元是指一个用固定时长的信号波形(数字脉冲),代表不同离散数值的基本波形,是数字通信中数字信号的计量单位,这个时长内的信号称为k进制码元

    2022-09-16

  • 跟着卷卷龙一起学 Camera-- 黑电平 Blacklevel

    在camera的sensor中,将光信号通过CMOS管转化为电信号。图像的Buffer的颜色内容是由电信号决定的。图像每个像素的0~65535代表了不同的颜色。为了定义图像信号中的纯黑,这里我们需要定义一个纯黑色的参考电信号值,即黑电平,也被叫做OB值,optical Black。整

    2022-09-01

  • 热敏电阻、RTD、热电偶的原理和特性

    热敏电阻、RTD、热电偶的原理、特性以及转换公式。

    2022-04-20

  • 状态机的概念与设计

    一般情况下,状态触发器的数量是有限的,其状态数也是有限的,故称为有限状态机(Finite State Machine,简称为FSM)。状态机中所有触发器的时钟输入端被连接到一个公共时钟脉冲源上,其状态的转换是在同一时钟源的同一脉冲边沿同步进行的,所以它也被称作时

    2023-02-09

  • 简单组合逻辑电路

    在 Verilog HDL 中一个信号可能有如下四种基本的值:i.0:逻辑 0 或假ii.1:逻辑 1 或真iii.x:未知iv.z:高阻态(三态)在门电路的输入端或是表达式中的 z 值通常会被译为一个 x 值(待确定)。通常情况下, Verilog HDL 是区分大小写的,但是作为值来表示时

    2022-11-15

  • 20|ControlNet:出道即巅峰,构图控制没有对手

    握了ControlNet这个“大杀器”,你对于AI绘画效果的控制能力会上一个台阶,在下个实战篇实现创意AI绘画任务时也会更加得心应手。

    2023-09-01

  • liunx:进程概念

    输入单元:包括键盘, 鼠标,扫描仪, 写板等中央处理器(CPU):含有运算器和控制器等输出单元:显示器,打印机等

    2022-10-22

  • D 触发器 (D-FF) 详解

    D触发器的逻辑功能 D触发器的逻辑符号 把 CP 有效沿到来之前电路的状态称为现态,用表示。 把 CP 有效沿到来之后,电路所进入的新状态称为次态,用表示。 特性表 特性方程

    2023-02-04

  • 制造行业商业分析案例:思路解析

    2022-12-30

  • 以太网数据链路层、Ethernet_II 帧格式、IEEE802.3 帧格式,以太网的 MAC 地址的组成,ARP 地址解析协议的工作原理,单播帧、组播帧、广播帧的区别

    ​数据链路层,Ethernet_II帧格式、IEEE802.3帧格式,帧格式的区分以及链路层每种帧格式有什么作用,怎么区别分辨帧格式,以太网MAC地址的组成,ARP地址解析协议原理、什么是单播帧?什么是组播数据帧?什么是广播帧?...............

    2022-10-25

  • 从一部 iPhone 手机看芯片的分类

    学完这节课,你就可以对半导体行业的主要产品品类有基本概念,也会对一部iPhone都用了哪些芯片产品有一个初步的印象。

    2021-05-21

发现更多内容

本周四晚19:00知识赋能第八期第2课丨ArkUI自定义组件

OpenHarmony开发者

OpenHarmony

一文读懂数字化转型中的数据存储

元年技术洞察

数据库 数据中台 数据治理

直播预告 | PolarDB-X 动手实践系列——PolarDB-X 的表组与分区变更

阿里云数据库开源

MySQL 数据库 阿里云 开源 PolarDB-X

推动零信任加速落地应用 天翼云为企业铸牢安全基石

Geek_2d6073

SPI机制是什么,懂了

知识浅谈

spi 9月月更

活动报名|9月24日 Apache Flink Meetup · 北京站,Flink 1.16 新版本发布!

Apache Flink

大数据 flink 流计算 活动 实时计算

大数据调度平台Airflow(四):Airflow WebUI操作介绍

Lansonli

airflow 9月月更

从零到一了解APP速度测评

百度Geek说

App 网络 企业号九月金秋榜 速度测评

EasyNLP玩转文本摘要(新闻标题)生成

阿里云大数据AI技术

自然语言处理 深度学习 开源技术 文本检测 企业号九月金秋榜

一起瓜分20万奖金!第三届火焰杯软件测试大赛开始公开选拔!

测吧(北京)科技有限公司

测试

以百分点大数据操作系统(BD-OS)为例 解读ToB产品架构设计的挑战及应对方案

百分点科技技术团队

百分点大数据技术团队:Cesium技术在智慧应急行业的应用

百分点科技技术团队

ESP32-C3入门教程 基础篇(五、RMT应用 — 控制SK6812全彩RGB 灯)

矜辰所致

ESP32-C3 9月月更 RMT

《2022 社交泛娱乐出海白皮书》发布,最全出海破局指南

融云 RongCloud

社交 白皮书 泛娱乐

“易+”开源 | 简单可信赖,GameSentry 正式开源

网易智企

开源 安全测试

带你了解CANN的目标检测与识别一站式方案

华为云开发者联盟

人工智能 目标检测 CANN 企业号九月金秋榜 目标识别

中国移动政企科创专题:耕“云”不辍,厚积薄发

Geek_2d6073

堡垒机全称是什么?是运维安全审计系统吗?

行云管家

网络安全 堡垒机

依赖项安全检测新利器:Scorecard API

SEAL安全

开源项目 开源安全 依赖项管理 开源组件 企业号九月金秋榜

HTML自带的拉风属性

大师兄

前端 HTML5, CSS3 9月月更

一条sql了解MYSQL的架构设计

京东科技开发者

MySQL 数据库 架构 innodb 存储引擎

还不会搭建自己的产品帮助中心?来看看这个

Baklib

Baklib|7步教你写出实用的在线产品手册

Baklib

产品 产品文档 产品手册 在线协作

clickhouse 索引、索引局限与解决方案

水滴

Clickhouse 索引 解决方案 稀疏索引

Hinton等谈深度学习十年;PyTorch落地Linux基金会的影响;机器学习界的“GitHub”|AI系统前沿动态

OneFlow

人工智能

PostgreSQL逻辑复制解密

京东科技开发者

数据库 postgresql 数据迁移 WAL 逻辑复制

反诈骗要卷起来!隐私计算助攻反诈行动把握主动权

Jessica@数牍

数据安全 隐私计算 反欺诈

后疫情时代,远程办公发展趋势如何?

Baklib

协同办公 文档管理

前端必读:如何在 JavaScript 中使用SpreadJS导入和导出 Excel 文件

葡萄城技术团队

JavaScript Excel canvas 数据导入 数据导出

百草味推出“潮卤江湖”系列新品 聚焦地域风味创新

E科讯

国民粮油品牌益海嘉里首个天猫超级品牌日交出完美答卷

微机原理:锁存器的基本原理介绍_语言 & 开发_周澳_InfoQ精选文章